数字电路设计实验报告(5篇)

2022-11-28| 编辑: 佚名| 查看: 371 |原作者: 刘谷龙|来自: 衙媒网

今天给大家介绍的是数字电路设计实验报告(5篇),数字电路设计实验报告(5篇)的详细内容:第一篇:数字电路设计实验报告数字电路设计实验报告引言本课程是面向智能车制作的课程,但是主要讲述的是一些关于智能车制 ...

  今天给大家介绍的是数字电路设计实验报告(5篇),数字电路设计实验报告(5篇)的详细内容:

第一篇:数字电路设计实验报告

  数字电路设计实验报告

  引言

  本课程是面向智能车制作的课程, 但是主要讲述的是一些关于智能车制作的一些最基本的基础知识。

  比如说一些单片机的知识和一些相应数字电路的知识。

  故我在这里利用一些所学的知识来设计一个数字时钟。

  该电路系统由秒信号发生器、“时、分、秒”计数器、显示器组成。

  秒信号产生器是整个系统的时基信号, 它直接决定计时系统的精度, 这里用多谐振荡器来实现。

  将标准秒信号送入“秒计数器”, “秒计数器”采用60进制计数器, 每累计60秒发出一个“分脉冲”信号, 该信号将作为“分计数器”的时钟脉冲。

  “分计数器”也采用60进制计数器, 每累计60分钟, 发出一个“时脉冲”信号, 该信号将被送到“时计数器”。

  “时计数器”采用24进制计时器, 可实现对一天24小时的累计。

  译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器进行译码, 通过六个七段数码管显示出来。

  本作品的主要设计目的是熟练使用555定时器构成多谐振荡器的方法, 掌握使用74LS160构成60进制计数器的方法以及使用74LS160构成24进制计数器的方法, 理解在实际的设计电路中电压电流关系对整个电路功能的实现所具有的重要性。

  关键词:数字电子钟;555定时器;60进制计数器;24进制计数器;共阳极七段数码管;74LS47译码器;

  第一章:设计方案的选择

  数字电子技术的复杂性和灵活性决定了数字电子钟的设计方案有多种, 以下是本设计的方案选择。

  1、信号源的选择

  多谐振荡器, 信号发生器, 脉冲芯片以及石英晶体振荡器等方式都可以作为脉冲信号源, 但是石英晶振产生的频率较高, 需要用到分频器来对它进行分频处理, 故在此我选择的是用555定时器制作的多谐振荡器, 主要考虑的是它的易于制作和很好的稳定性。

  2、计数器的选择

  时分秒计数器的选择在74系列里同样有多种, 74LS160和74LS161,74HC161, 74LS191等等也都可以, 考虑到其简单易用,在此我选择的是74LS160。

  3、译码器的选择

  译码器的选择就只有两大类, 一类是驱动共阳极的数码管, 一类是驱动共阴极的数码管。

  在74系列里也有好几种, 在这里我选用74LS47来驱动共阳极数码管。

  4、元器件清单

  74LS160(十进制计数器)——6个, 74LS47(译码器)——6个;74LS00(2输入与非门)——3个;BCD数码管——6个;NE555芯片一个, 电阻, 电容, 滑动变阻器。

  第二章、设计原理描述

  1、数字计时器的设计思想

  要想构成数字钟, 首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。

  而脉冲源产生的脉冲信号地频率较高, 因此, 需要进行分频, 使得高频脉冲信号变成适合于计时的低频脉冲信号, 即“秒脉冲信号”(频率为1Hz)。

  经过分频器输出的秒脉冲信号到计数器中进行计数。

  由于计时的规律是:60秒=1分, 60分=1小时, 24小时=1天, 就需要分别设计60进制, 24进制计数器, 并发出驱动信号。

  各计数器输出信号经译码器、驱动器到数字显示器, 使“时”、“分”、“秒”得以数字显示出来。

  我设计的数字时钟就是用来计时的, 厄并没有增加那个什么其它的报时、校对的功能。

  2、设计框图:

  电子钟在逻辑功能上是有秒脉冲发生器、秒计数器、分计数器、时计数器、译码器、显示器等组成。

  其原理框图如下所示:

  秒脉冲计数器——>>秒计数器——>>分计数器——>>时计数器——>>译码器——>>显示器

  3、时钟信号的产生:

  用NE555芯片和两个电阻以及两个电容组成一个时钟振荡电路, 用来产生时钟信号的。

  电阻R1、R2和电容C1构成定时电路。

  定时电容C1上的电压UC作为高触发端TH(6脚)和低触发端TL(2脚)的外触发电压。

  放电端D(7脚)接在R1和R2之间。

  电压控制端K(5脚)不外接控制电压而接入高频干扰旁路电容C2(0.01uF)。

  直接复位端R(4脚)接高电平, 使NE555处于非复位状态, 3脚用于输出时钟信号。

  由555定时器组成的多谐振荡器如图(C)所示, 其中R1、R2和电容C为外接元件。

  其工作波如图(D)所示。

  图2-3 555定时器组成的多谐振荡器及其工作波形图 设电容的初始电压Uc=0, t=0时接通电源, 由于电容电压不能突变, 所以高、低触发端VTH=VTL=0<VCC, 比较器A1输出为高电平, A2输出为低电平, 即RD?1, SD?0(1表示高电位, 0表示低电位), R?S触发器置1, 定时器输出u0?1此时Q?0, 定时器内部放电三极管截止, 电源Vcc经R1, R2向电容C充电, uc逐渐升高。

  当uc上升

  ___13__1到Vcc时, A2输出由0翻转为1, 这时RD?SD?1, R?S触发顺保持状3态不变。

  所以0

  _2t?t1时刻, uc上升到Vcc, 比较器A1的输出由1变为0, 这时RD?0, 3SD?1, R?S触发器复0, 定时器输出u0?0。

  t1?t?t2期间, Q?1, 放电三极管T导通, 电容C通过R2放电。

  uc__按指数规律下降, 当uc?Vcc时比较器A1输出由0变为1, R-S触发器的RD?SD?1, Q的状态不变, u0的状态仍为低电平。

  t?t2时刻, uc下降到__23_1Vcc, 比较器A2输出由1变为0, R---S触3发器的RD?1, SD?0, 触发器处于1, 定时器输出u0?1。

  此时电源再次向电容C放电, 重复上述过程。

  通过上述分析可知, 电容充电时, 定时器输出u0?1, 电容放电时, u0?0, 电容不断地进行充、放电, 输出端便获得矩形波。

  多谐振荡_器无外部信号输入, 却能输出矩形波, 其实质是将直流形式的电能变为矩形波形式的电能。

  多谐振荡器的放电时间常数分别为

  tPH≈0.7×(R1+R2)×C1 tPL≈0.7×R2×C1

  振荡周期T和振荡频率f分别为 T=tPH+tPL≈0.7×(R1+2R2)×C1

  f=1/T≈1/[0.7×(R1+2R2)×C1] 根据以上两个式子就可以根据所需要的频率来确定电阻和电容的参数, 但是为了频率可调一般会在电路4和7中间接入一个滑动变阻器。

  4、用两个74LS160组成一个60进制的计数器原理: 74LS160本来是十进制的计数器, 那么如何用两个十进制的计数器使它成为一个60进制的计数器呢?不过仅仅用这两个芯片是不可能的, 还需要借助一个叫做74LS00的与非门芯片。

  74LS160的工作要满足的条件有两个:它的MR,PE,CEP,CET这四个端口要为高电平才可以为计数状态;另一个条件就是CP端要有上升沿信号的输入, 才会进入计数的状态。

  当MR为低电平的时候, 无论74LS160的状态如何, 都会把数据进行清零。

  那么在了解了这三个关于74LS160的基本的知识之后, 那么就可以开始设计60进制的计数器了。

  原理图如下:

  首先第一个160芯片的CP端接入到信号发生的那个端口上, 其它的PE, CER, CET, MR都接到高电平上。

  在160的四个输出端, 把四个输出端按照高低位的顺序接入到74LS47的输入端上。

  再把第一个芯片的TC(进位输出端)接到第二个芯片的CP端。

  接到第二个芯片的CP端是为了产生时钟计数信号(也即秒钟的个位计数信号)。

  第二个芯片的接法也是它的PE, CER, CET都接到高电平上, 在160的四个输出端上, 一方面把13和12两端的线接到第一个74LS00的输入端, 另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。

  再把74LS00的输出端接到第二个芯片的MR端和第三个芯片的CP端。

  接到第二个芯片的MR端是为了对第二个芯片的计数进行清零处理;而接到第三个芯片的CP端是为了产生时钟计数信号(也即分钟的个位计数信号)。

  那么下面解释一下为什么是这么接线的:160是一个四位的计数器, 从低位到高位的依次顺序是(14、13、12、11)。

  当74LS00的输入信号全是高电平时, 其输出信号为低电平(但两个输入信号不全为高电平的时候, 其输出端一直为高电平), 把第一个芯片的TC端接到第二个芯片的CP端, 是因为160具有自动进位的功能, 每当160从9变到0的时候, 就会在TC端产生一个高电平脉冲信号, 从而产生了一个上升沿, 使得第二个芯片开始计数。

  对于第二个芯片我们只要其当计数到6就可以, 但是又不能出现6, 所以第二个芯片的输出端的接法是把13、12两个端口接到74LS00的输入端, 但第二个芯片从5变到6时(CP端会受到第一个芯片的影响, 从而触发第二个芯片从5变到6), 此时端口输出可表示为(0110), 从而和第一个芯片引发类似的数据清零处理。

  在这个数字电路中是需要两个60进制的计数器的, 但是分钟的计数器和秒钟是差不多的。

  5、用两个74LS160组成24进制计数器的原理: 电路图如下图所示:

  组成24进制的计数器的方法用点不同于60进制计数器的连接方法。

  因为时钟只能从0计时到24, 但是有因为时钟的个位数不是一个循环的计数, 第二次计数时只要计到4变5的时候就要清零, 而时钟的十位数只要计到2变3的时候就要清零了, 因此连接方法也就不同于之前的。

  第五个芯片的CP端也是接到第二个74LS00的输出端口上, 它的PE, CER, CET都接到高电平上。

  在160的四个输出端上, 一方面把12端(0010)的线接到第三个74LS00的输入端, 另一方面把四个输出端按照高低位的顺序接入到74LS47的输入端上。

  而对于第六个芯片的输出端中的13端(0100)接到第三个74LS00的输入端, 对于74LS00的输出端分别接到第五个和第六个的MR端, 这样就可以实现时钟的清零了, 不会出现24了。

  因为当第五个芯片从3变成4的一瞬间, MR也在差不多同时进行清零了。

  对于第五个的计数是一方面利用160自身的从9变0的功能。

  6、显示电路:

  在设计我用74LS47译码器来驱动共阳极的一位数码管, 电路图如

  下

  :

  第三章:数字电路的仿真设计 在multisim中画完电路之后, 在进行仿真, 以用来检验电路的正确性。

  完整的电路图如下, 在仿真的时候没有接入ne555电路, 而是用的函数发生器来充当信号源。

  但是效果是一样的。

  仿真的结果分析:经测试, 电路可以实现设计要求, 可以实现数字钟的基本功能, 秒脉冲信号及60、24进制计数器均可正常工作。

  所以, 基于仿真结果可以认定, 此次数字钟的设计是成功的。

  第四章:心得体会

  通过这次对数字电子钟的课程设计, 把课本上学到的知识与实践相结合。

  从中对学到的知识有了更进一步的理解, 而且更进一步的熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。

  也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

  厄, 在一开始的时候我查阅了智能车队的里上传的关于74系列的资料, 在认真阅读完相应的芯片的资料后, 发现不是很懂。

  只知道74LS160是十进制的计数器, 但是怎样才能组成60进制和24进制的计数器呢, 不得已只能上网查阅资料, 但是一查却发现许多是基于单片机的电子钟设计, 而不是纯数字电路, 后来找到一些资料和图, 却发现不是很好理解。

  最后按照一个查到的关于60进制的计数器, 在软件上连了, 却发现没用第二个数码管根本不会计数, 也即没有上升沿的输入。

  最后看了半天和百度, 才发现那个电路图是有问题的了。

  74LS160虽然是十进制的计数器, 但是它便不会计到10, 而是在9以后就变为0了, 所以把160的13和11两端的线接到与非门上, 根本就不会输出低电平, 一直保持的高电平输出。

  最后在研究了一下160的几个端口的功能之后, 发现我完全可以把第一个160的TC端接到第二个芯片的CP端以用来产生时钟信号。

  按照这种思路来设计电路的话, 就可以只要用到三个74LS00与非门了, 比一些用五个的就要更简便一些了。

  从这个查阅资料的过程中, 我发现了有问题可以找百度, 但是却不能依赖于百度, 有时看书才是王道那。

  其实设计本身并不是有很重要的意义, 而是在于我们对待问题时的态度和处理事情的能力。

  各个芯片能够完成什么样的功能, 使用芯片时应该注意那些要点。

  同一个电路可以用那些芯片实现, 各个芯片实现同一个功能的区别。

  另外, 我还渐渐熟悉了multisim这个仿真软件的各个功能, 让我体会到了其中的乐趣, 而在用这个软件的时候, 还要学会如何去接线和安排这些元器件, 用实现电路的简洁和直观, 尽量要少的线交叉在一起。

  熟练掌握了Multisim仿真软件的使用, 最重要的是提高了自己的动手实践能力, 话说熟练的掌握了这个软件的一些基本的用法, 比如说找元器件那和设置参数那, 那么以后我们也就可以按照自己的思路去设计一些电路, 并进行仿真, 以证实自己的想法并且进行改进。

  具体来说:

  一、学会了如何使用Multisim 10软件。

  二、在实践中了解了多种元件的功能和参数。

  三、从仿真实践中不仅学会了如何去分析问题和解决问题, 也体会到了成功的喜悦和失败的忧郁。

  四、提高了自己的读图和分析图还有设计图的能力。

  五、掌握了设计不同进制的计数器的方法。

  六、学会了用555定时器来产生一定频率的脉冲的方法。

  总之, 这次课程设计让我学到了好多东西, 也掌握了一些74系列芯片的使用。

第二篇:数字电子钟逻辑电路设计总结报告

  课程设计总结报告

  写总结报告是对学生写科学论文和科研总结报告的能力训练。

  总结报告包括以下内容: 1.报告名称

  2.内容摘要(<300字)3.设计内容及要求

  4.方案比较, 画出系统框图, 确定使用的方案。

  5.单元电路设计、参数计算和器件的选择(含器件功能表)并说明单元电路工作原理。

  6.画出完整的电路图 7.安装调试内容, 包括:

  (1)使用的主要仪器和仪表;(2)调试电路的方法和技巧;

  (3)测试的数据和波形, 并与计算结果比较分析;(4)调试中出现的故障、原因及排除方法。

  8.总结设计电路的特点和方案的优缺点,提出进一步的改进意见和未来的发展。

  9.列出所用的元器件 10.列出参考文献

第三篇:数字电子钟实验报告

  本科实验报告

  学号: 指导教师:

  2016年7月19日

  课程名称:

  姓名: 院系: 专业:

  电子电路安装与调试 陈肖苇、李晓杰、张晨靖 信息与电子工程学院 电子科学与技术 3140104544 王子立

  实验报告

  课程名称:电子电路安装与调试指导老师:王子立成绩:______________ 实验名称:多功能数字钟的设计与制作实验类型:设计型同组成员:

  一、实验目的和要求

  实验目的:

  1.学习并掌握中规模集成电路设计制作数字电路系统的方法, 装调技术和数字钟的功能扩展电路的设计。

  2.熟悉集成电路的使用方法。

  实验要求:

  1.选用74系列或COMS系列中规模集成电路, LED数码显示器为主要器件设计并制作一多功能数字电子钟, 要求具有如下功能:

  ①基本功能:以数字形式显示时、分、秒的时间, 小时的显示为“12”翻“1”, 手动快校时。

  ②扩展功能:仿广播电台整点报时, 报整点时数, 定时控制(时间自定)。

  自行设计电路, 至少实现其中两个扩展功能, 电路形式尽可能不与前述电路相同。

  2.设计与制作要求

  ①拟定数字电子钟电路的组成框图, 要求电路的基本功能与扩展功能同时实现, 使用的器件要尽量少、成本低。

  ②设计、仿真、制作各单元电路, 要求器件布局合理、美观, 便于级联与调试。

  ③测试数字电子钟系统的逻辑功能, 同时满足基本功能与扩展功能的要求。

  ④画出数字钟系统的整机逻辑电路图, 设计印制电路板, 要求器件布局合理, 布线整齐、美观。

  ⑤安装并调试整个数字电子钟。

  二、实验内容和原理

  实验内容:

  1.设计主体电路, 完成基本功能:以数字形式显示时、分、秒的时间, 小时的显示为“12”翻“1”, 手动快校时。

  2.设计扩展电路, 完成扩展功能:仿广播电台整点报时, 报整点时数, 定时控制(时间自定)。

  3.仿真各单元电路。

  4.制作PCB板并印刷电路。

  5.焊接电路板并调试。

  实验原理:

  1.数字电子钟电路原理

  数字电子钟实际上是一个对标准频率(1Hz)进行计数的电路, 主要由基准频率源、分频器、计数器、译码显示驱动器、数字显示器和校准电路等组成。

  基准频率源是数字电子钟的核心, 它产生一个矩形波时间基准信号, 其频率精度和稳定性决定了计时的精度。

  分频器采用计数器实现, 以得到1秒(即频率1Hz)的标准秒信号脉冲。

  在计数器电路中, 对秒、分计数采用60进制的计数器, 对时计数器采用12翻1 的计数器。

  译码器采用BCD码七段译码显示驱动器。

  显示器采用LED七段数码管。

  整个数字电路系统的原理如图2.1所示, 分为主体电路和扩展电路两大部分。

  其中主体电路完成数字钟的基本功能, 扩展电路实现数字钟的扩展功能。

  图2.1多功能数字钟系统组成框图

  该系统的工作原理是:由振荡器产生稳定的高频脉冲信号, 作为数字钟的时间基准, 经分频器输出标准脉冲信号。

  秒计数器满60后想分计数器进位, 分计数器满60或向小时计数器进位, 小时计数器按照“12翻1”规律计数。

  计数器的输出经译码器送显示器。

  计时出现误差时可以用校时电路进行校时、校分。

  扩展电路必须在主体电路正常运行的情况下才能进行功能扩展。

  2.主体电路原理 ①振荡器

  振荡器是数字钟的核心。

  振荡器频率的精确度及稳定度决定了数字钟计时的准确程度, 通常选用石英晶体构成振荡器电路。

  一般来说, 振荡器的频率越高, 计时精度越高。

  由于石英晶体振荡器的输出频率较高, 为了得到1Hz的秒信号, 需要对振荡器的输出信号进行分频。

  通常用计数器实现分频, 一般用多级二进制计数器实现。

  图2.2为时钟专用集成电路(CD4060)的晶体振荡电路及分频电路, 取晶振的频率为32768Hz, 该电路内部含有一个振荡电路和一个14级2分频电路, 使用非常方便。

  在他的输出端可以得到2Hz的标准脉冲和其他高频信号。

  2Hz再经过一个D触发器二分频后得到1Hz的秒信号。

  图2.2 用CD4060构成的电子钟振荡与分频电路

  如果精度要求不高, 也可以采用由集成逻辑门与RC组成的时钟源振荡器, 或由集成定时器555与RC组成的多谐振荡器。

  选用555构成的多谐振荡器, 设振荡频率f0=103Hz, 电路参数如图2.3所示:

  图2.3 555构成的振荡器

  ②分频器

  分频器的功能主要有两个:一是产生标准秒脉冲信号, 二是提供功能扩展电路所需要的信号, 如仿电台报时用的1kHz的高音频信号和500Hz的低音频信号等。

  选用3片中规模集成电路计数器74LS90可以完成上述功能, 因为每片是1/10分频, 3片级联则可以获得所需要的频率信号, 即第一片的Q0端输出频率为500Hz, 第二片的Q3端输出为10Hz, 第三片的Q3端输出为1Hz。

  ③时分秒计数器

  时间计数单元有时计数、分计数和秒计数三个部分。

  分和秒都是模M=60的计数器, 输出为两位的BCD嘛, 其计数规律为00→01→?→58→59→00→?。

  选用74LS92作为十位计数器, 74LS90作为个位计数器, 再将他们级联组成模数M=60的计数器。

  也可以选用10进制计数器, 无需进制转换, 只需要将Q0和CP1’相连即可。

  CP0’与脉冲输入信号相连, Q3可作为向上的进位信号与秒的十位计数电路CP0’相连, 电路连接如图2.4所示:

  图2.4 十进制计数器电路

  秒十位计数电路为6进制计数器, 需要进制转换。

  10进制计数器转换为6进制计数器的电路如图2.5所示, Q2、Q1通过与门与1清零端R相连, 实现6进制转换, 与门的输出同时还作为向上的进位信号与分个位计数电路CP0’相连。

  图2.5 十进制-六进制计数器转换电路

  分计数器与秒计数器设计相同。

  时计数器是一个12翻1的特殊进制计数器, 即当数字中运行到12时59分59秒时, 秒的个位计数器再输入一个秒脉冲后, 数字钟应自动显示为01时00分00秒, 实现日常生活中习惯用的计时规律。

  选用74LS191和74LS74, 其电路原理见图2.6:

  图2.6 时计数器

  74LS191是带异步置数端的16进制可逆计数器, 设计成0-9的10进制加法器和2→1的减法计数器, 用它做1-9的计数何从12→1的减法计数。

  74LS74是D触发器, 用作时十位计数。

  工作过程:74LS191从0开始计数, 到第九个技术脉冲过后, 其输出为1010, 与非门G1输出低电平, 计数器异步置零, 与非门G1又回到高电平。

  与非门G1回到高电平的瞬间(上升沿), 74LS74触发器被置1, 完成9-10的进位过程。

  第十一、十二个脉冲过后计数状态分比为10001/10010, 这时与非门G2输出低电平, 计数控制端为高电平74LS191被设置为减法计数器, 第十三个脉冲到来是74LS191的状态由0010转变为0001.这时, 与非门G3的两输入端都为高电平, 输出变为低电平, 使D触发器清零, 整个计数器的状态为00001, 完成了从12→1的状态转换。

  同时计数器74LS191的控制端又恢复为低电平, 重新开始下一个12的计数周期。

  ④译码、驱动及显示电路

  各计数单元的计数器实现了对时间的累计, 并分别从Q0-Q3端以BCD码的形式输出, 译码驱动显示电路是将计数器的输出数码转换为数码显示器所需要的逻辑并驱动显示器进行显示。

  图2.7是使用CD4511作为译码驱动电路, 选用LED数码管作为显示器。

  CD4511是CMOS BCD码到7段锁存、译码、驱动电路, 它可以直接驱动共阴极LED, 图中电阻器限流的作用, 其阻值应根据电源电压来决定, 一般限制LED数码管每段笔画10mA左右。

  图2.7 译码、驱动及显示电路

  ⑤时分校正电路

  当数字钟刚接通电源或计时出现误差时, 需要校正时间, 校时是数字钟应具备的基本功能。

  一般电子钟都有时、分、秒等校时功能。

  对校时电路的要求是:在小时校正的时候不影响分和秒的正常计数, 在分校正时不影响小时和秒的正常计数。

  校时方法有快校时和慢校时两种:快校时通过开关控制, 使计数器会1Hz的校时脉冲计数, 慢校时用手动产生单脉冲作为校时脉冲。

  图2.8为校时分电路, 它是由基本RS触发器和与非门组成, 基本RS触发器的功能是产生单脉冲, 防止抖动。

  其中K为校正用的控制开关。

  校正脉冲采用1Hz的秒信号, 当K处于图示位置时, 与非门G1输出高电平, 基本RS触发器处于1状态, 这是数字钟正常工作, 来自分或秒的进位信号能进入时或分计数器。

  拨动开关K时, 与非门G2输出高电平, 基本RS触发器处于0状态, 这时数字钟处于校正状态, 秒信号可以直接进入计数器, 而进位信号被阻止, 因而能够较快地校正相应计数器的计数值。

  校准后将校正开关K薄回原位, 数字钟继续进行正常的计数工作。

  如果校时脉冲改由单位脉冲产生器提供, 则可以进行慢校时。

  图2.8 时分校正电路

  3.功能扩展电路 ①仿广播电台整点报时电路

  一般数字电子钟都具备整点报时的功能, 及在时间到达整点前数秒钟内数字钟会自动发出声响报时。

  报时方式是发出连续的或者有节奏的音频信号, 较复杂的也可以是实时语音提示。

  仿广播电台整点报时是在整点前数秒内开始报时, 响1秒停1秒共5声, 前4声低音, 最后1声高音。

  电路功能要求:每当数字钟计时快要到正点时发出声响, 通常按照4低音1高音的顺序发出间断声响, 以最后一声高音结束的时刻为整点时刻。

  设4声低音(约500Hz)分别发生在59分的51秒、53秒、55秒和57秒, 最后一声高音(约1kHz)发生在59分59秒, 它们的持续时间为1秒, 如下表所示: CP(秒)

  00 Q3S1 0 0 0 0 0 0 0 0 1 1 0

  Q2S1 0 0 0 0 1 1 1 1 0 0 0

  Q1S1 0 0 1 1 0 0 1 1 0 0 0

  Q0S1 0 1 0 1 0 1 0 1 0 1 0

  功能 低音 停 低音 停 低音 停 低音 停 高音 停

  当Q3S1=0时鸣低音, 当Q3S1=1时鸣高音。

  只有当十位的(Q2Q0)M2=11, 分个位的(Q3Q0)M1=11, 秒十位的(Q2Q0)S2=11以及秒个位的Q0S2=1时, 音响电路才能工作。

  ②定时控制电路

  数字钟在制定的时刻发出信号, 或驱动音响电路“闹时”, 或对某装置的电源进行接通或断开控制, 不管是闹时还是控制, 都要求时间准确, 即信号的开始时刻与持续时间必须满足规定的要求。

  例:要求上午7时59分发出闹时信号, 持续时间为1min。

  7时59对应的时个位计数器状态0111, 分十位计数器状态0101, 分个位计时器状态1001.若将上述计数器输出为1的所有输出端经过与门电路控制音响电路, 可以使音响电路正好在7点59响, 持续1min后停响。

  实现的电路如图2.9所示:

  图2.9闹时电路

  有图可见到达时刻时, 音响电路的晶体管导通, 扬声器发出1kHz的声音, 持续1min后晶体管因为输入端为0而截至, 电路停闹。

  ③报整点时数电路

  报整点时数电路功能:每当数字钟计时到整点时发出音响, 且几点响几声, 实现这一功能的电路主要由以下几部分组成:

  ①减法计数器:完成几点响几声的功能, 即从小时计数器的整点开始进行减法计数, 直到零为止。

  编码器:将小时计数器的5个输出端Q4、Q3、Q2、Q1、Q0按照12翻1的编码要求转换为减法计数器的4个输入端D3、D2、D1、D0所需的BCD码。

  ③逻辑控制电路:控制减法计数器的清零与置数, 控制报时音响电路的输入信号。

  根据以上要求, 采用过了如图2.10的报整点时数的电路。

  图2.10自动报整点时数的电路

  编码器是由与非门实现的组合逻辑电路, 其输出端的逻辑表达式由5变量的卡诺图可得: D0=Q0

  D1=((Q4’Q1)’·(Q1Q4)’)’ D2=(Q2’·(Q4Q1)’)’ D3=(Q3’Q4’)’

  减法计数器选用74LS191, 个控制端的作用如下:LD’为置数端, 当LD’=1时将小时计数器输出的数据经数据输入端D0D1D2D3置入, CP0’为溢出负脉冲输出端, 当减计数到0时, CP0’输出一个负脉冲, U’/D为加减控制器。

  U’/D=1做减法计数。

  逻辑控制电路由D触发器74LS74与多级与非门组成。

  电路的工作原理是:接通电源后按出发开关S, 使D触发器清零。

  该清零脉冲有两个作用, 一是使74LS191的置数端LD’=0, 即将此时对应的小时计数器输出的整点时数置入74LS191, 二是封锁1kHz的音频信号, 使音响电路无输入脉冲而停止发声。

  当分十位计数器的进位脉冲的上升沿来到时, 小时计数器加1, 新的小时数被置入74LS191, 进位脉冲的上升沿同时又使74LS191的状态翻转, 输出高电平, 经G2、G3延时后使LD’=1, 此时74LS191进行减法计数技术脉冲由1Hz秒信号提供。

  秒信号低电平时音响电路发出1kHZ声音, 秒信号高电平时停响。

  当减法计数到0时, 74LS191的CP0’会输出一个负脉冲, 使D触发器的触发信号回到0, 单触发器的状态保持不变, 当74LS191的CP0’结束负脉冲回到高电平时, 因此时分进位信号仍为高电平, 经与非门G1和非门后产生一个上升沿, 使D触发器翻转到0状态, 74LS191又回到置数状态。

  如果出现某些整点数不准确, 主要原因是逻辑控制电路中的与非门延时时间不够, 产生了竞争冒险现象, 可以适当增加与非门的级数或接入小电容进行延时。

  三、主要仪器设备

  装有AD、Proteus软件的电脑, 各类元件, 镊子, 焊锡, 电烙铁等

  四、操作方法和实验步骤

  1.实验电路的设计:

  ①由图2.1所示的数字钟系统组成框图, 按照信号的流向分级安装, 逐级级联。

  ②级联时如果出现时序配合不同步或尖峰脉冲干扰, 引起逻辑混乱, 可以增加多级逻辑门来延时, 如果显示字符变化很快, 模糊不清, 可能由于电源电流的跳变引起, 可以在集成电路器件的电流端Vcc加退耦滤波电容。

  ③画数字钟的主题逻辑电路图。

  经过联调并纠正设计方案中的错误和不足, 再测试电路的逻辑功能是否满足设计要求, 最后画出满足设计要求的总体逻辑电路图。

  2.实验电路的仿真

  ①在Proteus软件中绘制电路图。

  ②依次进行主体电路和各扩展电路的功能仿真, 观察各电路模块的功能是否满足需求, 必要时在关键节点添加示波器探针观察电路的波形情况。

  根据仿真结果对电路进行适当的调整。

  3.PCB板的绘制 4.实验电路的装调

  五、设计方案及仿真分析

  1.实验电路的设计: ①主体电路

  图5.1主体电路

  图5.2 振荡器

  图5.3 计数器

  图5.3 译码显示

  ②扩展电路

  图5.4 正点报时

  图5.5仿广播电台正点报时

  图5.6 定时控制

  图5.7 音响电路

  图5.8 电源电路

  2.实验电路的仿真: ①计时显示功能

  起初仿真发现小时、分、秒的数字都能够正常显示, 且分、秒为60进制, 但小时信号出现满7复0的状况, 即状态为0→1→2→3→4→5→6→7→10→11→12。

  此时我们的局部电路如图5.9所示:

  图5.9 原小时计数显示电路 当H11=1, H13=1即Q3Q2Q1Q0=1010, U2进行置零操作, 但观察仿真发现Q3Q2Q1Q0= 0111即置零。

  我们将H11、H12、H13和LD信号添加到示波器中观察到波形如图5.11所示。

  图5.10 添加示波器

  图5.11 波形显示

  结合数码管显示发现, 当数字从7将要变成8时, H13和LD都出现了跳变, H13原本应当从0状态变为1状态并保持一段时间但实际上H13从0变为1后又迅速跳回0, 导致电路计数器被置0。

  结合这一现象, 我们分析原因为, 当H13从0状态变成1状态时, H10、H11和H12也同时从1状态变成0, 由于电路的延迟, 以及计数器采用异步置数, 导致跳变现象的产生。

  因此, 我们将原本输入为H11、H13的与非门U18改为输入为H10’、H11、H12’、H13的四输入与非门, 然后再进行仿真, 发现功能可正常实现。

  图5.12 修改后局部电路

  ②时间校准功能

  对电路进行快速时间校准功能的仿真, 发现当校时开关下按时, 小时数会升高, 实现小时校准;当校分开关下按时, 分钟数会升高, 实现分钟校准, 时间校准功能正常。

  ③正点报时功能

  图5.13 音响电路示波器

  如图5.13将示波器探针放置在音响电路上, 观察当整点来临时, 示波器显示的波形情况。

  图5.14所示是4时来临时, 音响发出4声提示音的波形。

  另外选取其他若干整时数, 均能实现正点报时功能。

  图5.14正点报时

  ④仿广播电台报时功能

  图5.15仿广播电台报时

  观察整点即将来临时音响的波形显示, 发现在整点前10s开始报时, 并从扬声器中听出先报四声低音再报一声高音, 更改若干整点数功能均能正常实现。

  ⑤定时控制

  图5.16 定时控制

  利用拨码开关设定好定时的小时数和分钟数, 仿真发现到达定时时间时扬声器开始发出提示音, 声音持续一分钟, 更换若干定时时间再次测试, 发现定时控制功能都能够正常实现。

  ⑥电源电路

  图5.15 电源电路

  3.PCB板的绘制

  最终设计完成的PCB版如下:

  图5.16 电路整体设计

  电路排版的整体设计理念是将电源以及指示灯放在右上角, 通电的同时即可检验电路是否能工作以及电源电路是否正常工作, 同时, 将整块电路中的用户交互界面, 即时间显示界面和按钮操作界面分别置于电路板的上下两侧, 其中, 将时间显示界面放在最上方, 方便观察和调试, 将按钮操作界面放在电路板的最下方, 方面用户操作;至于其他的功能模块, 则放在电路板的中间部分, 并按功能相关的原则进行排版。

  电路布线的整体设计理念是在电路板的一周布上一圈的电源线和地线, 当有需要时直接横向延伸进去进行连接;电路的其它部分则采取自动布线然后手动进行调整的方法。

  下面, 将针对电路中的关键模块进行详细的介绍。

  图5.17 电源电路

  上图为电源电路, 将8~12V的直流电接在JP1上, 若电源正常工作, 则电源指示灯LED被点亮, 同时经过7805输出5V稳压;其中四个电容C11, C12, C13, C14起到滤波的作用, 用来稳定稳压器输出的电压。

  图5.18 晶振电路 上图为晶振电路, 其中32468为频率为32.768KHz的晶体振荡器, U1是14次分频的分频器, 晶振的输出信号经过U1产生一个1KHz的信号和一个2Hz的信号, 其中2Hz的信号再次经过分频产生1Hz的信号, 当作计数器的时钟。

  图5.19 数字钟主体部分

  上图为数字钟的主体部分从上到下分别是共阴数码管显示器, 分压限流电阻, 显示译码器和16进制计数器。

  首先由16进制计数器由1Hz信号进行计数和产生进位, 同时将状态传递给显示译码器4511, 显示译码器4511将计数器传递来的状态进行译码, 输出对应的高电平信号, 经电阻分压后, 点亮对应的共阴数码管显示器, 即可实现电路的主体功能。

  图5.20 开关控制电路

  上图是我们的开关控制电路, 左边四个拨码开关分别对应小时的十位, 小时的个位, 分钟的10位, 分钟的各位, 用其对应的二进制代码进行控制。

  SW2是闹钟控制电路, 其中仅开关1闭合, 闹钟打开;仅开关2闭合, 闹钟关闭;开关1和开关2同时断开, 芯片默认高电平, 闹钟打开;开关1和开关2同时闭合, 则电源和地会短路, 所以不能同时闭合。

  SW1是整点报时控制电路, 当开关1闭合时, 整点报时功能使能, 开关1打开, 整点报时功能关闭。

  S1是小时电路的手动快校时按键, S2为分钟电路的手动快校时按键。

  4.电子钟的安装与调试 ①安装电路 按照原理图、PCB图和板子上的元件名称安装、焊接电路, 芯片先焊插座, 方便出现问题时进行修改。

  老师告知PCB板可能出现几处错误, 因为修改元件时自动布线把过孔取消了, 因此几根地线没有连上。

  我们检查PCB板与AD中的PCB图连线, 计划用导线将没连上的线连上, 后来发现错误已经被修改。

  ②电源电路

  安装好电路后, 在没有装芯片的情况下, 测试电源电路是否正常。

  将直流电源调整为10V, 限流在0.6A左右, 按下OUTPUT, 电源电路的指示LED灯亮, 用万用表测量输出端的电压, 在5V左右, 故电源电路正常工作。

  ③主体电路的调试

  按照原理图首先安装CD4060芯片, 测试振荡电路是否正常。

  示波器探头首先接CD4060的4脚, 接地端接电源地, 测得1kHz左右的方波信号。

  在将探头接至5脚, 测得500Hz左右的方波信号。

  在晶振处可以测得32.7KHz左右的信号(很遗憾忘记拍照记录波形)。

  因此振荡电路正常工作。

  安装所有的芯片, 接通电源, 电源电路指示灯亮, 数码管亮, 显示小时的两个数码管显示18, 显示分钟的两个数码管显示88, 显示秒的两个数码管从零开始以1Hz的频率计数。

  秒数码管的变化说明秒计数正确, 分钟和小时则说明了我们在设计中忽略了自启动问题。

  通过校时、校分按键调节小时和分钟数合适。

  当秒到“59”后, 两数码管变为0, 同时分钟位加1, 说明秒到分钟的逻辑正确。

  长按校分按钮, 可以看到两个分钟的数码管显示按60进制以秒计数, 到达59后小时加1, 说明分钟模块正常工作。

  长按校时按钮, 小时以1Hz频率实现1→12计数, 小时模块正常工作。

  主体电路数字钟正常工作。

  在测试按钮短按实现快校时时, 发现短按校时按钮, 小时显示有可能不变、或有可能乱跳, 如从12跳到6跳到2;校分按钮短按时有不变情况, 在校分计数超过40后经常直接置零;并且校分按钮会影响到小时的显示;长按时按键按下和松开时也会影响计数变化。

  这可能与按键开关的抖动有关系;在测试过程中还发现PCB板的抖动也会影响到数码管显示。

  开关防抖动与上拉电阻与并联电容组成的RC电路有关, 所以通过改变电容电阻的值来增大RC电路的时间常数。

  我们把104的电容换成220uF的电容, 使RC电路的时间常数在100ms左右, 焊接时注意电容的正负极。

  但是改变电容后按键短按校时校分情况并没有明显改变。

  最后我们决定将按键开关换成拨码开关来得到电平, 通过1Hz信号来校时。

  换成拨码开关后, 打开拨码开关后小时、分钟能够正常计数。

  但是拨码开关与底座有些接触不良, 拨动开关时也有可能有抖动, 因此拨动拨码开关时需要小心, 固定电路板和拨码开关拨动开关可以正常工作。

  在调节校时、校分时曾经在板子后方接一个电容, 并接过示波器, 这个过程中分钟数码管曾突然不显示, 按校时、校分按钮并不能使其恢复正常。

  后发现电路板上分钟电路用到的74LS390非常烫, 可能已被烧坏。

  拆下74LS390后用万用表测试插座上各个管脚的电压, 发现电压并没有异常情况, 接地脚与电源地直接的电压为0V左右。

  检查电路板电路没有发现问题。

  猜测可能背后接电容和示波器测波形时可能有短路等情况发生导致74LS390烧坏。

  更换74LS390后, 电路正常工作, 390有稍微发热但不会发烫, 390接地脚电压与电源地相同, 可以正常使用。

  ④调节闹钟功能。

  闹钟电路通过拨码开关设置闹钟时间。

  首先确定电路板上从左到右四个开关顺序按照小时的十位、个位, 分钟的十位、个位排列, 之后按照当前时间设置拨码开关的数值。

  此处输入分别为数码管上显示数字的二进制编码, 打开闹钟开关后发现喇叭并没有响。

  检查原理图和电路板, 发现每个拨码开关的高位到低位是从右向左的, 重新调整拨码开关的拨码后, 喇叭持续发出1kHz的声音。

  关闭闹钟电路的控制开关后声音停止, 在同一分钟内, 再次打开控制开关, 仍然发出声音, 当分钟改变时, 声音停止。

  说明闹钟电路正常工作。

  调试过程中, 应该注意控制开关的作用。

  由于设计电路的原因, 控制开关有两个拨码开关接入状态, 左数第一个与电源相接, 第二个与地相接, 当第二个开关未接通(0)时不论第一个拨码开关接通与否输入都为高电平(1), 闹钟电路工作;当状态为01时, 闹钟电路控制开关处于打开状态, 相当于闹钟电路不工作。

  但是如果同时使两个开关状态处于“ON”状态, 电源直接与地相接, 整个电路都被短路, 停止工作。

  因此调试时应小心不能同时拨开两个开关。

  ⑤调节仿广播台报时功能

  首先通过校分按钮使分钟显示为59分, 当秒数达到50后, 每逢奇数秒数会响一低音声音, 持续一秒钟, 到达59分响一高音。

  实现来四声低音一声高音的仿广播电台报时功能。

  该电路能够正常工作。

  ⑥调节仿广播台报时功能

  打开该电路模块的控制开关后, 发现喇叭一直发出一秒一响的声音。

  电路存在问题。

  找到该模块的电路, 与原理图对照的过程中发现有一网络名写错, 在最后版本的原理图中没有改正过来。

  我们将电路板上相连的LD线用小刀刮断, 通过导线将正确的两个相连的管脚连接后, 接通电源, 在非整点时喇叭不再发声。

  因为该电路是在小时数变化时工作, 所以我们只需要通过校时开关改变小时数, 而不需要将数字钟调至整点来测试电路。

  测试过程中, 首先我们将时间调至整点, 此时仿广播电台报时与整点报时接连工作, 我们在数整点报小时数时发现少一声认为可能两功能报时在整点处重叠。

  之后再改变校时按钮后, 听报小时数是正常的。

  但多次测试后, 发现报小时数的声音普遍比当前的小时数少一声。

  并且在12→1过程中, 小时数报了12声。

  这说明该电路中存在竞争冒险现象, 置数信号LD1比置数要先到达减法计数器导致电路报了之前的小时数, 我们通过接入电容来增加LD1所在电路的延迟时间, 使置数先传到减法器后再有置数信号的变化。

  在LD1的输出端与计数器74LS191的置数管脚之间并联一个104电容, 注意焊接时使电容管脚与其他管脚不相接, 以免发生短路情况。

  并联电容后再次测试, 发现所有时间的整点报小时数功能所报声音数都正常。

  仿广播电台报时模块能够正常工作。

  六、讨论、心得 李晓杰:

  在本次实验过程我主要承担的工作有闹钟电路和电源电路的设计, 利用Proteus对电路进行仿真并修改电路, 协助进行电路板的安装, 与组员一起进行电路的调试。

  在确定实验项目后, 首先对给出的电路原理进行理解掌握。

  在学习过程中, 发现数字钟的显示是一一分开的, 因此每一个个位、十位都可以看成一个单独的计数器, 而不能整体地看成秒钟和分钟是60进制而小时是从1计数到12的11进制计数器, 因为这样不利于数码管每一位的显示, 超过9的数无法通过7段译码器使数码管有显示数值。

  另外, 整点报小时数电路中通过D触发器来实现减法计数器和置数状态的转换也值得学习。

  原理部分也加深了我对边沿触发的理解。

  在设计闹钟电路时, 运用了拨码开关拨二进制数来实现闹钟时间的设定, 又用数值比较器来确定数字钟到达了设定时间。

  在设计过程, 要注意TTL门电路中输入悬空时输入的是高电平, 所以设计电路时要注意添加接地, 同时也要加电阻。

  我们在设计闹钟电路的控制开关时经过多次修改, 与数值比较器相连设置时间的拨码开关电路在此处仿真会出现问题, 最终我们确定用两个拨码开关一个接电源一个接地实现控制作用。

  电源电路能使8~12V左右的电压转换为稳定的5V左右的电压, 提供了稳压电源, 因此电源输入时可能有的变化使提供的电压造成太大的影响, 能保证电路的正常工作。

  在电路仿真过程中, 我们学习了Proteus软件的使用。

  Proteus在绘制出电路原理图后可以很方便地进行仿真, 同时还可以提供激励电源和虚拟的示波器, 方便我们观察电路中的时序变化图和仿真的波形图。

  在用Proteus仿真振荡、分频电路中, 发现晶振不能起振, 在网上查询发现这个问题很普遍, Proteus仿真振荡电路是一个短板, 我们可以直接设置CD4060的振荡频率为32768Hz来实现振荡电路的观察, 但是此时仿真会提示CPU负载达到99~100%使仿真时间与实际时间相差非常大, 时间变化得非常慢, 并且在示波器上无法显示波形。

  我们通过对显示出的红蓝块指示高低电平经过一段时间观察可以看出能得到1Hz的信号。

  在后续仿真中, 我们不加入振荡电路, 而通过给予激励电源来提供输入信号。

  在主体电路调试中发现了小时电路变化至7时就发生了进位, 通过示波器观察波形发现因为从7(0111)到8(1000)存在着1变0, 0变1的情况使LD瞬间有低电平, 而将置数电路改成在同时满足1001情况下置数可以解决问题。

  后来发现将7400改为74LS00后就不会存在这个问题。

  在仿真过程学习了Proteus软件的使用方法, 也对数字电路的内容加深了理解。

  软件的仿真与实际还是存在差别的, 并且每个软件的长处和优点都不一样, 我们要根据电路功能选择合适的软件进行仿真。

  而我们在计数器的设计时, 置数电路中只考虑置数数字的1信号, 只将1信号对应的网络接至与非门而不考虑0信号对应的网络, 是因为计数是由高到低有顺序的, 而仿真告诉我们这样做可能会在实际电路中遇到问题;7400和74LS00也说明这个问题的存在也与不同类型的芯片有关系。

  调试过程加深了我对各个芯片作用的理解, 也积累了调试和修改电路的经验。

  我们在调试中也遇到了很多问题, 首先电路在设计绘制时有一网络名写错, 在修改时我们将连线断开后用导线重新连线解决了问题。

  在实际电路调试中, 我们也遇到了竞争冒险的问题, 通过增加电容延时的方法来解决问题。

  在调试过程中, 理解了增加并联电容通过电容的充放电来延迟电平达到的时间来达到延迟目的。

  我们也对开关防抖动电路加深了理解, 开关防抖动是通过一个RC电路来减轻开关抖动产生的时间很短的脉冲尖刺等造成电路的变化, 可以通过R、C来改变时间常数达到防抖动。

  这次实验帮助我们对数字电路的知识进行复习和加深理解, 数字电路的理论多数是逻辑问题, 当逻辑正确时, 实际电路中会遇到的竞争冒险、开关抖动、尖刺等现象, 在实验过程中更清晰地展现在我们面前, 也让我们掌握对这些问题的解决方法。

  另外, 我觉得我们在设计原理图和绘制PCB板时对后续问题的预见和为调试过程做准备的意识有待增强, 我们可以提前增加多余的引脚方便我们接示波器等设备对波形进行测量。

  这是我们需要提高的能力。

  张晨靖:

  通过这次实验, 我学习了中规模集成电路如何设计制作数字电路系统, 了解了数字钟的基本功能和扩展功能的设计实现方法, 学会使用protuesISIS软件进行电路的仿真以及仿真出错后怎样快速寻找问题原因并进行修调, 同时进一步熟练了AD软件的使用和电路板的安装调试。

  在此次实验中, 我们小组三个组员都有各自的分工, 我主要负责答辩ppt的准备、电路的仿真分析和部分电路的修改以及最后的电路调试等。

  在进行电路仿真的过程中, 由于是第一次使用protues ISIS软件, 我一开始还不太熟悉软件的操作方法和功能。

  开始的时候我认为在AD中已经绘制完成的原理图应当存在某种方法可以直接兼容至ISIS使用而无需重新绘制, 后来查询了各种资料, 发现ISIS的电路图可以在AD中打开, 而AD中的原理图无法使用ISIS打开, 所以最后我们决定重新绘制一遍原理图。

  在protues中画好原理图后, 我们开始进行电路仿真。

  但仿真一开始, 就立刻出现了问题, 电路的分、秒显示和进位都正确, 但是小时的计数功能在进制却有错误, 出现了满7复0的情况, 即状态为0→1→2→3→4→5→6→7→10→11→12→0。

  我们分析错误原因, 观察仿真显示的高低电平, 发现D触发器的输入没有任何变化, 但输出却会自行变化, 我们知道, 因为D触发器的下一位输出状态是取决于上一位输入的, 所以对于这一现象的发生完全不能理解, 另外, 74191计数器的状态转换也存在问题, 当输出状态达到0111时, 下一状态就会变成0000, 即高位一直无法出现1状态。

  在请教过老师之后, 老师建议我们在仿真电路中添加示波器观察波形, 于是我们在H11(74191计数器的Q1)、H12(74191计数器的Q2)、H13(74191计数器的Q3)和LD四处防止了示波器探针, 并再次执行仿真, 这一次我们通过观察波形发现了问题所在。

  当0111转换为1000状态时, Q3从0变成1, Q0、Q1、Q2则应“同时”从1变成0, 电路中出现了竞争冒险现象, 而原本的进位信号是Q3=1, Q0=1, 在状态改变的过程中出现了7直接进位的情况, 而我们之前观察到的的触发器输入没有变化, 输出却发生了变化的现象也找到了原因, 是因为我们开始观察电平高低变化的方法无法显示出电路波形的跳变, 即当信号快速变化又快速跳回时, 肉眼是看不到电平有所变化的, 而我们采用示波器就可以很明显地看到这一现象了。

  分析出电路问题原因后, 我们也很快地找到了解决问题的方法, 将原本的进位信号Q3=1, Q0=1改为Q3=1, Q2=0, Q1=0, Q0=1, 这样只有四位到达稳定状态后, 才会产生进位信号, 再次仿真就发现功能已经可以正确实现了。

  之后的仿真都比较顺利, 在获得了正确的仿真结果后, 我们心里也变得有底, 觉得电路板焊接完成后应该也不会出现大的问题, 但真正安装完成电路板进行调试时还是出现了一些问题。

  调试的第一天上午, 我们的电路板的功能基本还正确, 但下午回来后再进行调试一段时间后, 却发现数字钟的分突然不显示了, 并且芯片U22发烫非常严重, 后来我们找老师更换了一块芯片, 发现数字钟工作一段时间之后芯片还是会微微发热, 怀疑是电路哪里存在短路问题, 但是测量芯片接地和连接VCC的管脚, 发现电压是正常的, 直观来看电路板的器件焊接也没有明显问题, 所以一直也没有找到芯片发热的具体原因, 好在之后的调试我们会时常留意U22的温度, 没有再出现严重发烫的问题。

  另外数字钟还有一个问题, 就是整点报时功能不准确, 到达1点时会报时12声, 2点会报时1声, 3点会报时2声等等, 以此类推。

  我们分析认为, 出现这种整点不准确的现象, 原因应该是逻辑控制电路的与非门延时时间不够, 也产生了竞争冒险现象, 查询资料和讲义后我们觉得可以直接接入一个小电容, 延长延时获得正确的报时数, 在接入电容之后, 这一问题得到了解决。

  在板子的装调过程中, 我们发现了之前电路的设计上存在一些细节问题, 很多实际电路中可能存在的细节在先前的设计中没有考虑到。

  比如部分电容的封装选择不合适, 导致焊接时接入不便, 也影响了电路的美观, 还有校时电路的滤波电容距离主体较远, 对于抖动的消除作用很不明显, 另外, 定时电路的拨码开关排布也很不方便操作, 我们定时电路拨码开关的排布十位个位顺序和表示某位数字的二进制高低位是相反的, 导致调节定时时刻不方便等, 这让我更加深刻地意识到, 电路板的设计是一个不断调整不断完善的过程, 需要考虑到各种实际情况, 才能设计出美观实用的电路板。

  另外, 我们在调试过程中不断的发现问题、寻找原因、探索解决方法, 进一步完善电路板的功能, 这一过程需要足够的耐心和严谨, 有时解决一个问题需要尝试多种方法, 寻找最佳方案, 才能最终获得一个功能比较健全的电路板, 获得一个自己满意的结果。

  陈肖苇:

  这次实验主要担任的工作是原理图主体部分以及两个扩展部分的绘制, PCB的全部设计以及修改过程, PCB的安装与焊接以及电路板的调试几个过程, 下面我讲分块讲一下我在几个方面的心得体会:

  PCB版图的设计与修改 为了节约时间考虑, 所以在原理图绘制完成之后, PCB版图就和原理图的仿真同步进行了, 但是由于一些细节上的原因, 导致提前进行版图设计并没有很好的提高时间利用率。

  PCB版图的绘制主要包括了元器件的导入与检查, 排版, 手动布线与自动布线, 手动布线更改, 以及原理图更改逻辑设计之后的大改和经过老师检查之后的大改几部分组成。

  首先第一步就是进行元器件的导入, 但是导入之后, 经过元器件的对比检查之后, 发现一些元器件的封装不对, 或者是一些元器件甚至没有选择封装, 于是经过了更改之后, 继续进行下面的工作。

  接下来, 进行的是元器件的排版工作。

  首先最容易想到的就是将数码管显示器即数字钟的显示部分放到板子的最上方, 这样可以一眼就看到整个电路的工作性质;其次就是想到了要将手动校时和闹钟开关等按键以及开关放在板子的最下面, 方便进行操作;至于其它的部分, 主要就是为了美观进行了一个相对整齐的排布, 同时也留出了一定的空间, 为以后的布线留下余地。

  当然, 中间这一步并没有按照功能排布, 仅仅是按照美观排布, 为版子的功能实现埋下了隐患(虽然这个隐患经过老师指导后进行了大改, 已经基本排除, 但是修改的过程也是相当的复杂, 以后尽可能要做到一步到位);其次, 经过这一次的实践, 发现当初元器件之间留下的空间对于这么大的一个工程来说还是相当有限的, 以后对于空间要有更合理的把握。

  图1:main_saved2(排好版)接下来, 就是进行布线了。

  因为上一次的电子工程训练, 我全部都是手动布的线, 所以这一次是第一次尝试自动布线, 结果发现自动布线速度这么快, 看起来结果也很可靠, 所以我还有一点惊喜, 因为上一次布线所耗费的时间实在是太久了, 下面就是布线之后的版图。

  图2:main_saved3(第一次自动布线)然而这样的自动排版的最大的问题就是电源和地线过细, 而且又不能直接批量修改, 因为在内部的地方, 直接修改会导致电路互联, 引起短路。

  所以, 我参考了一下别人设计的版图, 于是设计成了将电源和地在外圈周围布线, 然后通过横向的布线将电源和地导入到所需位置, 经修改后的电路板如下:

  图3:电源和地布完

  上面这块板子算是我们第一版的最终版了, 这是基于我们设计是正确的前提。

  几乎就在我布完线的同时, 晓杰她们的仿真结果出来了, 小时的翻转是不正确的, 所以我们在讨论了之后, 对小时控制电中的D触发器的输入逻辑进行了修改, 然后加入了两个芯片, 分别是74LS04的非门和74LS20的与非门。

  因为电路板内部没有空隙, 所以考虑将两片芯片放在了右下角单独开出的一片小区域里。

  如下图:

  图4:逻辑错误修改 修改完逻辑错误之后就产生了我们电路的第二版的最终版, 然后就拿去给老师检查我们的板子有没有什么问题。

  经过检查之后, 老师给我们指出了两个比较重要的设计失误, 一个就是电源的四个滤波电容离电源太远, 效果不好, 二是晶振和14次分频器距离太远。

  于是回去之后我就将这两部分电路单独拿了出来, 放在了右上方, 改正了电路设计没有按功能排在一起的失误。

  修改后的部分如下图:

  图5:修改后的电源电路

  图6:修改后的晶振电路 经过以上三大步的修改, 在对一些小的地方自动布线没有解决的错误进行修改之后, 产生了我们上交的电路的最终版, 如下

  图7:最终上交版

  在这份最终上交版中, 经过老师的检查, 还是发现了四处错误, 分别是两根连线没有连上, 以及两个过孔没有打通。

  这既是我当时检查不够仔细导致的问题, 同时也是我不会使用DRC的原因, 在电路板发下来之后, 经过向老师请教, 知道了如何用软件检查布线的结果, 即DRC(design rule check 设计规则检查), 极大的减少了以后出现这种细节性错误的可能性, 也算是一种进步吧。

  这块PCB板总共花了我一天的时间进行排版和布线, 然后花了整整三天的时间, 更改铜线的粗细, 修改电源和晶振电路, 然后还修改了四十多处没连上或者过孔没打通的错误, 不得不说PCB的设计是一个集技术与耐心于一体的工作。

  四天的时间里让我对PCB的设计产生了更深刻的认识, 包括电源和地的布线方式, 模块化设计的方法以及DRC确认设计等等, 同时也切身的体会到了自己经验的不足, 也有了以后努力的方向。

  调试过程中的经验谈

  调试的具体过程已经附在上文的安装与调试环节了, 所以在此就不再赘述了, 这里简单讲一下在调试过程中所发现的一些设计上的注意事项以及小组成员沟通之间的注意事项。

  在这次的调试中发现的主要的设计错误和不合理的地方有网络名在组员的原理图更改之后没有更新, 闹钟的数值比较器比较的开关和计数器的二进制顺序相反, 没有设计自启动, 以及整点报时功能存在竞争冒险现象, 以及按钮开关存在较大不确定性等问题。

  其中, 网络名的问题属于组内成员沟通问题, 因为坐在一起, 我们此次的交流基本上就是将原理图直接发给对方, 然后口头告诉对方所更改的地方, 然后对方再将所修改的地方直接复制过去。

  这种交流方式的优点当然就是交流效率非常高, 但是缺点就是如果一次性有太多修改的时候, 尤其是只是更改网络名, 而没有更改其他设计的时候, 容易被忽视。

  建议以后如果需要一个小组一起努力完成一个项目的话, 每次都应该将自己修改过的地方写成文档, 让其他成员按照文档修改, 而且这样以后在调试的时候也有可以参考的文献。

  而对于数值比较器的问题, 设计的时候是按3210的数序进行高低比较的, 但是拨码开关上的顺序是1234(错位的以为因为不影响正常的大脑译码, 所以不考虑, 即对人脑来说不论是3210, 还是4321只要是连续的四位数, 结果都是一样的), 因此出现的问题就是逻辑上的高低和物理上的高低相反, 因此对于单个拨码开关使用的时候, 最高位在右边, 要从右向左读数, 增加了使用难度, 而让用户违反常规思维使用, 让用户适应产品的做法, 是一款产品设计的非常大的缺陷, 这也是以后在设计电子产品的时候要考虑的重要问题——在功能以及价格相同的时候, 用户体验往往决定了产品的出路。

  图8:拨码开关的设计问题

  至于没有设计自启动, 也是一个很严重的问题, 虽说刚通电的时候, 数字中可以处在任何有用的状态, 但有时候进去就是10:62, 这样还要通过校时30多秒才能进入正常的循环, 这也是一个弊端。

  鉴于修改自启动问题需要对整个电路的逻辑进行修改, 工作量很大, 而且对电路整体功能影响不大, 所以这一问题我们小组暂未修改, 且经过所有其他调整之后, 一般开机后会处于10:00(不稳定), 已经不需要很长的校时调整。

  至于整点报时不准确的问题, 开始的时候我们以为是计数器少数了一个, 或者是和电台报时重叠。

  但我仔细考虑了一下, 认为事实并不是这样, 因为怎么想也不觉得每次计数器都会少数, 原因肯定在输入数据部分。

  结果接下来就发现了1点的时候报时是响12下, 于是, 我立马确定了错误是跟我想的一样, 存在于输入部分, 即输入部分存在竞争冒险——时钟脉冲到达时, 对应的译码器因为众多门电路的延时还没有将新的状态传递过来, 减法计数器的数据输入端还是上一个状态, 然后当时钟到达时, 置入了上一个状态, 所以才存在报时的错位现象。

  修改的关键就是延迟时钟信号的到达, 修改方案有加两级反相器或者加上一个电容进行延时, 因在调试的时候加入反相器过于麻烦, 选择了第二个方案, 即加上一个电容进行延时。

  经过修改之后, 整点报时功能正常, 符合设计要求。

  图9:整点报时的数据输入端最多经过了三段延时

  最后, 不得不说这么大的一个项目真的是对自己的一个锻炼, 不仅仅开始的时候要进行逻辑设计和改错, 要耗费那么长的时间进行一个PCB的设计和修改, 要把一块漏洞百出的电路调试到正常状态, 无论哪一步都很烧脑子, 但是无论哪一步收获都很大。

  我还要感谢我的两个可爱的组员, 没有她们协助和付出, 凭我一个人, 很难在这么短的时间内完成这么一个产品设计开发的全过程。

  还要感谢王老师的指导, 指出了我们电路设计的不合理的地方, 并告诉了我们修改方式, 并且因为之前我不会DRC, 在板子加工之前还帮我们修正了四处设计错误, 使我们调试过程中的工作量极大的降低。

  附录:原始调试记录

  调试日期:2016/7/17 早上来到, 安装好芯片和拨码开关之后, 我们就安上了电源, 开始了调试过程。

  ? UH2引脚错误(没错, 是两个等效的网络)

  ? 开关与计数器大小顺序, 对应拨码开关右侧为高位(对应问题)? H1H2对应顺序等

  ? 开关没有防抖动, 长按可正常调时(大部分), 短按不确定 ? 6, 9不好看

  ? 电台报时和整点报时重叠 ? 没有设计自启动

  ? 网络名不对(LD与LD1, 外加飞线)下午调试:

  1. 长按的不确定性

  2. 分钟和小时按键互相影响 3. 版子震动会改变状态

  4. 分钟不显示——390发烫——按键失灵——整点报时一直响——换掉芯片, 恢复正常。

  5. 整点报时少一声(或许是重叠)

  调试日期:2016/7/18 接着昨天的进行调试, 认为需要修改的主要存在以下三个方面 ? U22即74LS390存在发热现象

  ? 整点报时存在错位现象(加电容延时)

  ? 按钮开关存在抖动现象, 长按正常, 短按不确定(怀疑是版子震动问题, 换成拨码开关并固定住版子, 功能正常)

  设计的不合理:

  拨码开关方向, 按钮开关, 闹钟开关

  因未出现乱码问题, 所以开关上没有出现对应功能的提示, 这也是一个很大的遗憾。

  AD布线

  1.交互式布线:小键盘上的星号;或者shift+ctrl+滚轮 2.先自动布线, 后手动布线 3.电源和地绕圈布线

  1.PCB板留白问题——方便修改

  2.电源和地的双层布线问题——分不同层, 同时绕圈, 区分纵横

  3.模块化设计的重要性——同一功能的元件尽量放在一起, 尤其是电源, 晶振等地方的电容, 不然就没用惹。

  4.布线查错:design——DRC——右下角message——下方窗口

  小组分工:

  李晓杰:答辩展示, 闹钟电路原理图绘制, 电路行为仿真, 原理图修改, 电路调试 张晨靖:答辩PPT制作, 电路行为仿真, 原理图修改, 电路调试 陈肖苇:答辩展示, 原理图主体部分及整点报时和仿电台报时部分绘制, PCB排版布线, PCB版修改, PCB版焊接, 电路调试

第四篇:三相交流调压电路设计实验报告资料

  电力电子技术课程设计

  二级学院:课程名称:设计题目:姓 名:学 号:设计班级:指导教师:设计时间:实训报告

  自动化学院 电力电子技术

  三相交流调压电路设计

  目录 电力电子技术课程设计 电力电子仿真工具介绍.....................................................................................1.1 Matlab介绍.......................................................................................................................................1.2 SIMULINK仿真工具简介..................................................................................................................2电力电子器件测试...........................................................................................................................2.1 实验目的....................................................................................................................................2.2 实验原理....................................................................................................................................2.3 实验内容....................................................................................................................................2.4 计算机仿真测试过程................................................................................................................2.5 总结与心得................................................................................................................................三相交流调压电路...........................................................................................................................3.1实验目的............................................................................................................................................3.2实验原理............................................................................................................................................3.3实验内容............................................................................................................................................3.4计算机仿真过程及输出结果............................................................................................................4总结及实训体会.................................................................................................................................5附录............................................................................................................................................................1电力电子仿真工具介绍

  1.1 Matlab介绍 电力电子技术课程设计

  MATLAB是美国MathWorks公司出品的商业数学软件, 用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境, MATLAB 是Matrix Laboratory 的缩写意为矩阵工厂(矩阵实验室)。

  于1984 年推出的一套科学计算软件, 分为总包和若干工具箱.具有强大的矩阵计算和数据可视化能力.一方面可以实现数值分析、优化、统计、偏微分方程数值解、自动控制、信号处理、系统仿真等若干个领域的数学计算, 另一方面可以实现二维、三维图形绘制、三维场景创建和渲染、科学计算可视化、图像处理、虚拟现实和地图制作等图形图象方面的处理.同时, MATLAB 是一种解释式语言.简单易学、代码短小高效、计算功能强大、图形绘制和处理容易、可扩展性强.是主要面对科学计算、可视化以及交互式程序设计的高科技计算环境。

  它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中, 为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案, 并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式, 代表了当今国际科学计算软件的先进水平。

  MATLAB和Mathematica、Maple并称为三大数学软件。

  它在数学类科技应用软件中在数值计算方面首屈一指。

  MATLAB可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等, 主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。

  MATLAB的基本数据单位是矩阵, 它的指令表达式与数学、工程中常用的形式十分相似, 故用MATLAB来解算问题要比用C, FORTRAN等语言完成相同的事情简捷得多, 并且MATLAB也吸收了像Maple等软件的优点, 使MATLAB成为一个强大的数学软件。

  在新的版本中也加入了对C, FORTRAN, C++, JAVA的支持。

  1.2 SIMULINK仿真工具简介

  Simulink是MATLAB最重要的组件之一, 它提供一个动态系统建模、仿真和综合分析的集成环境。

  在该环境中, 无需大量书写程序, 而只需要通过简单直观电力电子技术课程设计 的鼠标操作, 就可构造出复杂的系统。

  Simulink具有适应面广、结构和流程清晰及仿真精细、贴近实际、效率高、灵活等优点, 并基于以上优点Simulink已被广泛应用于控制理论和数字信号处理的复杂仿真和设计。

  同时有大量的第三方软件和硬件可应用于或被要求应用于Simulink。

  simulinkMATLAB中的一种可视化仿真工具, 是一种基于MATLAB的框图设计环境, 是实现动态系统建模、仿真和分析的一个软件包, 被广泛应用于线性系统、非线性系统、数字控制及数字信号处理的建模和仿真中。

  Simulink可以用连续采样时间、离散采样时间或两种混合的采样时间进行建模, 它也支持多速率系统, 也就是系统中的不同部分具有不同的采样速率。

  为了创建动态系统模型, Simulink提供了一个建立模型方块图的图形用户接口(GUI), 这个创建过程只需单击和拖动鼠标操作就能完成, 它提供了一种更快捷、直接明了的方式, 而且用户可以立即看到系统的仿真结果。

  Simulink是用于动态系统和嵌入式系统的多领域仿真和基于模型的设计工具。

  对各种时变系统, 包括通讯、控制、信号处理、视频处理和图像处理系统, Simulink提供了交互式图形化环境和可定制模块库来对其进行设计、仿真、执行和测试。

  .构架在Simulink基础之上的其他产品扩展了Simulink多领域建模功能, 也提供了用于设计、执行、验证和确认任务的相应工具。

  Simulink与MATLAB紧密集成, 可以直接访问MATLAB大量的工具来进行算法研发、仿真的分析和可视化、批处理脚本的创建、建模环境的定制以及信号参数和测试数据的定义。

  它具有丰富的可扩充的预定义模块库交互式的图形编辑器来组合和管理直观的模块图能以设计功能的层次性来分割模型, 实现对复杂设计的管理。

  通过Model Explorer 导航、创建、配置、搜索模型中的任意信号、参数、属性, 生成模型代码。

  并提供API用于与其他仿真程序的连接或与手写代码集成。

  使用Embedded MATLAB? 模块在Simulink和嵌入式系统执行中调用MATLAB算法。

  使用定步长或变步长运行仿真, 根据仿真模式(Normal,Accelerator,Rapid Accelerator)来决定以解释性的方式运行或以编译C代码的形式来运行模型形化的调试器和剖析器来检查仿真结果, 诊断设计的性能和异常行为可访问MATLAB从而对结果进行分析与可视化, 定制建模环境, 定义信号参数和测试数据模型分析和诊断工具来保证模型的一致性, 确定模型中的错误。

  2电力电子器件测试

  2.1 实验目的

  (1)掌握各种电力电子器件的工作特性。

  (2)掌握各器件对触发信号的要求。

  电力电子技术课程设计

  2.2 实验原理

  实验电路如图所示:

  新器件特性实验原理图

  将电力电子器件和负载电阻Rp串联后接至直流电源的两端, 有实验装置上的给定为新器件提供触发信号, 使器件触发导通。

  图中电阻Rp用滑线变阻器, 接成并联形式, 直流电压和电流表可从电源控制屏上获得, 直流电源从电源控制屏的励磁电源获得。

  2.3实验内容

  (1)可关断晶闸管(GTO)特性实验

  (2)功率场效应管(MOSFET)特性实验

  (3)绝缘双极性晶体管(IGBT)特性实验

  2.4 计算机仿真实验

  启动MATLAB软件进入SIMULINK后新建文档, 绘制GTO MOSFET IGBT 电路特性测试系统模型如下图所示, 电力电子技术课程设计

  双击各模块并设置相应参数, 设置好各模块参数后, 单击工具栏的?按钮, 得到如下图 电力电子技术课程设计

  GTO 电力电子技术课程设计

  IGBT 电力电子技术课程设计

  SCR

  由以上仿真图可得各器件输出数据如下各表:

  GTO输出特性数据记录

  IGBT输出特性数据记录

  MOSFET输出特性数据记录 电力电子技术课程设计

  2.5 总结与心得

  由上述仿真过程得到的输出特性数据可得出各器件的输出特性图。

  GTO的输出特性

  IGBT输出特性 电力电子技术课程设计

  SCR输出特性

  这门实验课程的线路连接及线路实验原理 并不复杂, 最困难的是是完成试验线路连接以后所进行的调试与操作, 难以得出相关的正确的波形以及争取的结果和参数。

  这是由于对实验的过程及原理理解的不深刻, 对相关的知识掌握的不够透彻, 不能熟练应用到实际操作以及应用当中。

  并且动手能力不够强, 对实验过程不熟悉, 实验操作生疏, 缺乏相关的实际操作经验以及实际操作技巧, 遇到实际操作中的问题难以独立解决, 如何下手。

  对操作过程中的错误以及故障难以发现排除。

  通过本次的实验课程, 我还发现自己以前学习中所出现的一些薄弱环节, 并为今后的学习指明了方向, 同时也会为将来的工作打下一个良好的基础。

  这次的实验课程为我们提供了一个很好的锻炼机会, 使我们及早了解一些相关知识以便以后运用到实际中去。

  通过这次的实验课程, 我知道只有通过刻苦的学习, 加强对知识的熟练掌握程度, 在现实的中才会得心应手, 应对自如。

  总体来说, 经过这次实验课程, 我还从中学到了很多课本上所没有提及的知识。

  我会把这此实验课程作为我人生的起点, 在以后的工作学习中不断要求自己, 完善自己, 让自己做的更好。

  电力电子技术课程设计

  3三相交流调压电路

  3.1实验目的:(1)了解三相交流调压触发电路的工作原理。

  (2)加深理解三相交流调压电路的工作原理。

  (3)了解三相交流调压电路带不同负载时的工作特性。

  (4)掌握三相交流调压电路MATLAB的仿真方法, 会设置各模块的参数。

  3.2实验原理:

  本实验的三相交流调压器为三相三线制, 由于没有中线, 每相电流必须从另一相构成回路, 因此电流流通路径中有两个晶闸管, 所以交流调压应采用宽脉冲或双窄脉冲进行触发。

  三相的触发脉冲应依次相差120°, 同一相的两个反并联的晶闸管触发脉冲应相差180°。

  通过调节α导通角的大小从而控制晶闸管的导通角大小, 以控制输出电压有效值来调节输出电压。

  实验装置中使用后沿固定, 前沿可变的宽脉冲链实验电路如下图3.2所示:

  图3.2

  整流电压平均值分两种情况如下:(1)α≤30°时, 负载电流连续, 有

  当α=0时, U。

  最大, U。

  =1.17U2(2)α>30°时, 负载电流断续, 晶闸管导通角减小, 此时有 电力电子技术课程设计

  负载电流平均值为

  晶闸管承受的最大反向电压为:

  由于晶闸管阴极与零线间的电压即为整流输出电压U。

  , 其最小值为零, 而晶闸管阳极与零线间的最高电压等于变压器二次相电压的峰值, 因此晶闸管阳极与阴极间的最大正向电压等于变压器二次相电压的峰值。

  3.3实验内容

  (1)三相交流调压器触发电路的调试。

  (2)三相交流调压器电路带电阻性负载。

  (3)三相交流调压电路带电阻电感性负载

  3.4计算机仿真过程及输出结果

  1.带电阻性负载的仿真

  启动MATLAB软件进入SIMULINK后新建文档, 绘制三相交流调压系统模型如图:

  (1)交流电压源的参数设置 电力电子技术课程设计

  设置交流峰值电压为100V 频率为50Hz。

  (2)晶闸管的参数设置

  Rn=0.001Ω, Lon=OH, Vf=0.8, Rs=500Ω, Cs=250e-9(250*10^-9)F。

  (3)负载的参数设置

  R=450Ω, L=OH, C=inf。

  (4)脉冲发生器模块的参数设置

  频率设置为50Hz, 脉冲宽度为2% 设置好各模块参数后, 单击工具栏的?按钮, 得到如下图

  控制角0°

  控制角30° 电力电子技术课程设计

  2.带电阻电感性负载的仿真

  启动MATLAB软件进入SIMULINK后新建文档, 绘制三相交流调压系统模型如图:

  双击各模块, 再出现的对话框内设置相应参数, 各模块参数设置同上, 但负载模块的参数设置为:R=450Ω, L=0.1H, C=inf设置好各模块参数后, 单击工具栏的?按钮, 得到如下图电力电子技术课程设计

  控制角为0°

  控制角为30° 电力电子技术课程设计

  4总结及实训体会

  随着大功率半导体开关器件的发明和变流电路的进步和发展, 产生了利用这类器件和电路实现电能变换与控制的技术——电力电子技术。

  电力电子技术横跨电力、电子和控制三个领域, 是现代电子技术的基础之一, 是弱电子对强电力实现控制的桥梁和纽带, 已被广泛应用于工农业生产、国防、交通、能源和人民生活的各个领域, 有着极其广阔的应用前景, 成为电气工程中的基础电子技术。

  这次课程设计, 我学到很多有关我们专业知识方面的知识, 丰富了自己的知识点, 使自己得到提升。

  首先对电力电子器件的工作原理有了更深的体会, 对晶闸管的导通特性和三相交流调压电路中各晶闸管的导通顺序有了很好的了解。

  同时对SIMULINK仿真有了新的认识。

  SIMULINK提供一个动态系统建模、仿真和综合分析的集成环境。

  在该环境中, 无需大量编写程序, 而只需要通过简单直观的鼠标操作, 就可构造出复杂的系统。

  适应面广、结构和流程清晰、仿真精细、贴近实际、效率高、灵活等优点。

  SIMULINK提供了一些按功能分类的基本的系统模块, 用户只需要知道这些模块的输入输出及模块的功能, 而不必考察模块内部是如何实现的, 通过对这些基本模块的调用, 再将它们连接起来就可以构成所需要的系统模型, 进而进行仿真与分析。

  在电路进行仿真的过程中, 经常遇到这样那样的问题。

  如:线路连接错误、参数设置等。

  这次课设增强了自己的设计和理论联系实际的能力, 加深对MATLAB软件功能的理解, 学会了如何用MATLAB设计三相交流调压器, 学会分析理论与实际之间的误差, 为以后理论在实践中的应用打下一个很好的基础。

  其次懂得了各个课程知识不是孤立的, 而是相互之间联系的, 我们要学会综合理解知识点以及运用各知识。

  这次课程设计涉及到了电力电子技术、电路、数学, 控制等众多知识面, 因而我们需要把把各个学科之间的知识融合起来, 形成一个整体, 提升了自己的综合知识素养。

  5附录

  参考文献:《电力电子技术计算机仿真实验》 主编 李传琦

  《电力电子技术》第5版 主编 王兆安 刘进军

第五篇:《数字解调技术》实验报告

  《数字解调技术》实验报告

  班级:

  学号:

  姓名:

  一、实验目的

  1.掌握BPSK相干解调的原理。

  2.熟悉BPSK数字解调过程实现方法。

  二、实验原理

  1)2PSK信号的采用的解调方法是相干解调法。

  由于PSK信号本身就是利用相位传递信息的, 所以在接收端必须利用信号的相位信息来解调信号。

  在图1-1中给出了一种2PSK信号相干接收设备的原理方框图。

  图中经过带通滤波的信号在相乘器中与本地载波相乘, 然后用低通滤波器滤除高频分量, 再进行抽样判决。

  (图1-1 BPSK信号相干解调器工作原理图)

  2)实现难点:

  a)难于确定本地载波的相位。

  因为通常在接收端从接收信号中提取载波的方法是用倍频—分频法, 即将接收信号做全波整流, 滤出信号载波的倍频分量, 再进行分频, 恢复出载频。

  但是, 在分频时存在相位不确定性, 即分频得到的载波相位有两种可能性, 它依赖于分频器的初始相位等一些随机因素。

  这样就有可能把相位0和π颠倒, 从而把信号码元“1”和“0”颠倒,做出错误判决

  b)信道存在不稳定性, 使接收信号的相位产生随机起伏, 若接收端产生的本地载波的参考相位不能及时跟踪其变化, 也会造成同样的相位颠倒。

  第二, 在随机信号码元序列中有可能出现信号波形长时间地为连续的正(余)弦波形, 致使在接收端无法辨认码元的起止时刻。

  这样抽样判决时刻也就随之不能正确决定

  三、实验步骤

  1、事先运行原实验二的仿真波形, 确认正确的情况下, 即在实验二的基础上, 继续操作

  (图3-1 仿真波形图)

  2、增加乘法器IP核(此处输入信号均为10bit位)用于混频操作。

  (图3-2 乘法器——混频)

  3、将输出信号经过由软件系统设计的低通滤波器。

  4、将输出的调制信号与位同步信号, 进行相干解调。

  5、最后进行进行抽样判决, 得到解调输出信号。

  (图3-4 抽样判决)

  6、

  代码设计无误后, 完成综合实现并烧录bit流上电运行, 根据学号拨动拨码开关, 得到解调输出信号波形

  代码设计无误后, 完成综合实现并烧录bit流上电运行, 根据学号拨动拨码开关, 得到解调输出信号波形

  (图3-5 输出波形)

  四、实验总结

  1.设计乘法器混频操作时, 一定要注意数据位的一一对应, 否则可能将导致输出信号严重失真。

  2.必须注意设置合理的判决门限, 因为判决门限对应的则是信号的高低电平转换, 一旦出错则是对应bit位的信号出错。

  3.烧录比特流后注意将拨码开关SW0上拨, 触发时钟信号产生, 才能得到信号。

  4.根据实验数据显示, 解调信号与调制信号波形变化基本一致, 仅存在时间上的相位差。

  5.通过本次实验, 可以发现使用相干解调必须要恢复出相干载波, 利用相干载波和已调信号作用, 才能进行解调得到原始信号, 实现较为复杂, 但恢复出来的信号也较为贴近。

  以上就是“数字电路设计实验报告(5篇)”的论述。

免责声明:本文内容由互联网用户自发贡献,该文观点仅代表作者本人。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如发现本站有涉嫌抄袭侵权/违法违规的内容, 请联系我们,一经查实,本站将立刻删除。

相关推荐